EPWave is a free interactive browser-based waveform viewer for design and verification engineers. The primary use cases are:
EPWave is part of the EDA Playground tool suite.
New features are frequently being added to EPWave and EDA Playground. Follow the updates on your favorite social media site:
EPWave was created by Doulos.
You must be logged in to load or save waves.
You can run a simulation on EDA Playground and load the resulting waves in EPWave.
Go to your code on EDA Playground. For example: RAM Design and Test
Make sure your code contains appropriate function calls to create a *.vcd file. For example:
initial begin
$dumpfile("dump.vcd");
$dumpvars(1);
end
Select a simulator and check the Open EPWave after run checkbox. (Not all simulators may have this run option.)
Click Run. After the run completes, the resulting waves will load in a new EPWave window. (Pop-ups must be enabled.)
On EPWave Homepage, specify the wave dump file to load. There are 2 sources for loading waves.
After specifying the wave dump file, you can click the Load button to load the waves.
If your wave dump contains 2000 signals or larger, you may specify a Signal Filter so that fewer than 2000 signals are loaded. If Signal Filter is not specified, then only the first 2000 signals will be loaded. Click on the + on the top left to open the Signal Filter. For *.vcd files, the filter accepts regular expressions.
After specifying the Signal Filter, click the Load button to load the waves.
(Optional) You may specify the From and/or To times to limit the time range of the loaded wave. If your wave dump contains a lot of data, then the ending To time will automatically be limited.
Yes, see Loading Waves from EDA Playground
*.vcd wave format is currently supported. *.fsdb support is available for private deployments.
New features are frequently being added to EPWave and EDA Playground. Follow the updates on your favorite social media site:
The *.vcd file size limit is 20MB. Larger limits are available for private deployments.
If the wave dump contains more than 2000 signals, then only the first 2000 signals will be loaded. You may use the Signal Filter to load the other signals. See Loading Waves from File or URL
We are working on providing additional ways to sign into EDA Playground. Meanwhile, please create a new Google account at https://accounts.google.com/SignUp and use that to sign in.
Note: If you’re using EDA Playground on a private network, log in with the authentication credentials for your network.
EPWave is actively being improved. If you need help or have suggestions, support is available on EDA Playground forum
If you see a bug, however minor, please post on the forum or file a new issue at https://github.com/edaplayground/epwave/issues (requires GitHub account)