FAQ

Can I view the waves from my EDA Playground sim using EPWaves?

Yes, see Loading Waves from EDA Playground

Which wave formats are supported?

*.vcd wave format is currently supported. *.fsdb support is available for private deployments.

How do I get updates about new EPWave features?

New features are frequently being added to EPWave and EDA Playground. Follow the updates on your favorite social media site:

What are the limits for loading waves?

The *.vcd file size limit is 20MB. Larger limits are available for private deployments.

If the wave dump contains more than 2000 signals, then only the first 2000 signals will be loaded. You may use the Signal Filter to load the other signals. See Loading Waves from File or URL

Which web browsers are supported?

  • Firefox
  • Chrome
  • Internet Explorer 9 or higher

How do I log in if I don’t have a Google or Facebook account?

We are working on providing additional ways to sign into EDA Playground. Meanwhile, please create a new Google account at https://accounts.google.com/SignUp and use that to sign in.

Note: If you’re using EDA Playground on a private network, log in with the authentication credentials for your network.

I have more questions. How do I get support?

EPWave is actively being improved. If you need help or have suggestions, support is available on EDA Playground forum

If you see a bug, however minor, please post on the forum or file a new issue at https://github.com/edaplayground/epwave/issues (requires GitHub account)